打印
[matlab]

VHDL数组问题

[复制链接]
2523|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
x_tin|  楼主 | 2010-9-3 16:45 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
想根据一个信号来访问数组的某一个变量。代码如下:

SIGNAL    xloc           : STD_LOGIC_VECTOR(1023 DOWNTO 0);SIGNAL    index         : STD_LOGIC_VECTOR(9 DOWNTO 0);

PROCESS(clk_um, arst_um)
BEGIN
  IF arst_um = '1' THEN
     xloc   <= (OTHERS =>'0');
  ELSIF clk_um'EVENT AND clk_um = '1' THEN
            xloc (index) <='1';
  END IF;
END PROCESS;

其实我的目的就是想根据 其他模块生成的INDEX来往xloc的某一位 写1, 想在一个周期内完成。 所以不能用RAM;

上面这样写 确实是可以综合的,且可以布局布线。但是感觉综合的结果较复杂,运行时钟也限制的不高。

相关帖子

沙发
AutoESL| | 2011-6-26 12:30 | 只看该作者
遇到过同类的问题,的确是很复杂。

使用特权

评论回复
板凳
foreverly| | 2011-6-26 22:40 | 只看该作者
:$

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

35

主题

153

帖子

0

粉丝