打印

初学modelsim,却遇此般挫折,请教各路大神

[复制链接]
829|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
如图,编写完testbench后,modelsim仿真一直加载不出信号,检查修改testbench多次以后也没能得到正确结果,想问大佬们是怎么解决这类问题的。
以下附testbench代码.







***********************************************************************************************
`timescale 1ns/1ps
module mux21_tb();

//==============================================================================
localparam PERIOD = 10; //100M
reg eachvec;
//==============================================================================
//build clk
reg clk, rst_n;

initial
begin
        clk = 0;
        forever #(PERIOD/2) clk = ~clk;
end

//------------------------------------
//reset signal
task task_reset;
begin
        rst_n = 0;
        repeat(2) @(negedge clk);
        rst_n = 1;
end
endtask

//------------------------------------
//initial mux21
reg                  a;
reg                  b;
reg                  s;
wire                 c;

mux21 u_mux21
(
        .clk            (clk),
        .a              (a),
        .b              (b),
        .s              (s),
        .c              (c)
);

//------------------------------------
//task of input
task task_test;
        input          a1;
        input          b1;
        input          s1;
        begin
                a <= a1;
                b <= b1;
                s <= s1;
        end
endtask

//------------------------------------
//testbench of RTL
initial
begin
        task_sysinit;
        task_reset;
       
        task_test(0, 0, 0);
       
        repeat (5) @(posedge clk);
        task_test(1, 0, 0);

        repeat (5) @(posedge clk);
        task_test(0, 1, 0);
       
        repeat (5) @(posedge clk);
        task_test(1, 1, 0);
       
        repeat (5) @(posedge clk);
        task_test(0, 0, 1);

        repeat (5) @(posedge clk);
        task_test(0, 1, 1);
       
        repeat (5) @(posedge clk);
        task_test(1, 0, 1);
       
        repeat (5) @(posedge clk);
        task_test(1, 1, 1);
end

endmodule

5.png (53.03 KB )

5.png

4.png (43.63 KB )

4.png

3.png (227.45 KB )

3.png

2.png (246.86 KB )

2.png

1.png (17.47 KB )

1.png

相关帖子

沙发
hxy6951| | 2018-2-13 17:21 | 只看该作者
看modelsim错误提示

使用特权

评论回复
板凳
Laplaces|  楼主 | 2018-2-13 22:00 | 只看该作者
hxy6951 发表于 2018-2-13 17:21
看modelsim错误提示

并没有说明具体的错误啊

使用特权

评论回复
地板
玄德| | 2018-2-15 19:39 | 只看该作者

你没有在 wave 窗口添加任何信号,它不知道你要看啥。


使用特权

评论回复
5
Signall| | 2018-2-26 16:43 | 只看该作者
Laplaces 发表于 2018-2-13 22:00
并没有说明具体的错误啊

这个源文件应该还没有编译过去

使用特权

评论回复
6
Signall| | 2018-2-26 16:44 | 只看该作者
Laplaces 发表于 2018-2-13 22:00
并没有说明具体的错误啊

这个源文件应该还没有编译过去

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

3

帖子

0

粉丝