打印

为什么ise中综合工具选synlify后ncf文件大小为0?

[复制链接]
3566|18
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Tonylzez|  楼主 | 2012-4-27 23:08 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
在ise中建了一个工程,综合工具选用synplify pro 2009.06版,综合时在synplify pro中建了个sdc约束文件,综合后在ise中布局布线,再看时序信息,发现时序约束没加上。但我在synplify中看时序分析结果是加上的,再仔细一查,综合后产生的ncf文件大小为0kb,真不知道为什么没有产生时序信息?已经在synplify中Implementation设置了输出厂商约束信息,不明白为什么ncf没有信息。不知有没有人遇到过这种问题,望不吝赐教!

相关帖子

沙发
Tonylzez|  楼主 | 2012-4-28 13:21 | 只看该作者
期待解答中!

使用特权

评论回复
板凳
badjack008| | 2012-4-28 14:07 | 只看该作者
;P 搬个板凳 坐等猴版

使用特权

评论回复
地板
diny| | 2012-4-28 17:14 | 只看该作者
:L,没碰到过。我帮你呼叫猴哥?;P

使用特权

评论回复
5
Oxygen.Chu| | 2012-4-28 21:30 | 只看该作者
猴哥去XXOO了,我来帮他回答你吧:)
老版本的Synplify确实产生.ncf,但是新版本的Synplify会产生.ucf来替代原来的.ncf文件。所以你应该把.ucf加进去。
顺便说一下以后的Synplify连ucf都不产生,会产生.sdc文件;Vivado也不会支持.ucf的,只支持.xdc和.sdc
因为.sdc是业界标准

使用特权

评论回复
6
Tonylzez|  楼主 | 2012-4-30 21:32 | 只看该作者
经观察,的确是产生了ucf文件,但这个ucf文件ise在translate时不是默认加入到工程中去的,得手工加入这个新约束文件,好像以前ncf文件应该是自动加入的吧,是不是有什么别的地方需要设置呢?

使用特权

评论回复
7
Oxygen.Chu| | 2012-4-30 22:01 | 只看该作者
以前的.ncf文件只要在ise工程目录下,就会被translate自动加载,而生成的.ucf文件,必须要手动加入~

使用特权

评论回复
8
Backkom80| | 2012-5-2 09:32 | 只看该作者
嘻嘻,

使用特权

评论回复
9
Tonylzez|  楼主 | 2012-5-2 18:05 | 只看该作者
看来工具本省就是不支持自动加入synplify的ucf文件了。结贴

使用特权

评论回复
10
Tonylzez|  楼主 | 2012-5-2 18:05 | 只看该作者
看来工具本省就是不支持自动加入synplify的ucf文件了。结贴

使用特权

评论回复
11
Tonylzez|  楼主 | 2012-5-2 18:06 | 只看该作者
看来工具本省就是不支持自动加入synplify的ucf文件了。结贴

使用特权

评论回复
12
Tonylzez|  楼主 | 2012-5-2 18:06 | 只看该作者
看来工具本省就是不支持自动加入synplify的ucf文件了。结贴

使用特权

评论回复
13
opple| | 2012-5-2 20:31 | 只看该作者
晕死了

使用特权

评论回复
14
opple| | 2012-5-2 20:31 | 只看该作者
为啥说猴版XXOO了?

使用特权

评论回复
15
anqier1| | 2012-5-2 21:11 | 只看该作者
猴版最近看来很忙呢

使用特权

评论回复
16
anqier1| | 2012-5-2 21:12 | 只看该作者
俺不是很清楚 看来大家都是行家啊

使用特权

评论回复
17
可木| | 2012-5-2 22:13 | 只看该作者
猴哥干嘛了???;P

使用特权

评论回复
18
GoldSunMonkey| | 2012-5-2 22:58 | 只看该作者
我天天来啊。

使用特权

评论回复
19
GoldSunMonkey| | 2012-5-2 22:58 | 只看该作者
谢谢氧气

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

17

主题

70

帖子

1

粉丝