打印
[FPGA]

多个信号驱动双向口

[复制链接]
898|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
aikimi7|  楼主 | 2013-9-14 23:24 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
设计中实现对SRAM的读写控制,目前需要完成的是外部数据写入SRAM,然后SRAM读出的数据经处理后再次写入SRAM,第一部分的写读使用了数据地址双向口,第二部分的写是输出口,这两个部分如何实现共同驱动同一引脚呢?难点就在于,由于引脚有限,第一部分是双向口,如果通过一个选择器的话,能实现输出的切换,但是对于第一部分的输入就无法满足了。
请问有什么解决方法吗?

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

21

主题

136

帖子

0

粉丝