今日: 4|主题: 14098|帖子: 104075 收藏 (178)
请问各位大侠,我想学习CPLD,需要学习哪些知识?
2010-4-17 13:33 7 1904
FPGA高级逻辑设计培训
2010-4-9 16:29 1 1627
ee
2010-4-9 15:45 1 1630
modelsim时序仿真
2010-4-6 11:31 1 1666
小弟不才,刚学FPGA,用了quartus下的Verilog,结果……
2011-4-11 15:13 19 2542
求CPLD芯片EPM1270-144相关应用资料
2010-4-9 21:27 2 2398
altrea I/O配置
2010-4-2 00:26 2 1738
帮我看看这个程序哪有错?
2010-3-30 18:52 0 1691
请教有epcs16下载问题
2010-3-30 12:15 0 2223
建了个FPGA交流群,大家共同提高
2010-4-26 19:34 4 2028
PCB、FPC、铝基板技术交流 attach_img
2010-3-30 09:14 0 1905
开源一下我的板子 attachment  ...23456..7
2010-12-26 16:02 134 17518
有人能帮我仿真一下这个VERILOG程序吗,非常级
2010-4-8 13:03 4 1797
FPGA设计与ASIC设计的类同和区别 ---FPGA设计到ASIC设计的转型
2010-4-10 16:39 6 2807
我想问一下有哪些公司提供FPGA的相关岗位?
2010-3-31 14:23 10 3005
新版本,冲人气, 问个问题。标题长长长长长长
2010-3-20 20:47 2 1711
太好了,21IC终于有了探讨FPGA的专区了!
2010-5-4 11:27 15 2168
太好了,21IC终于有了探讨FPGA的专区了!
2010-3-18 14:24 0 1542
红色飓风祝贺FPGA/CPLD新版成立! attachment  ...2
2012-9-4 20:43 28 3753
告别FPGA---请不要扔砖啊
2010-4-9 10:11 10 2569
少啊。。。
2010-7-1 15:11 1 1465
压电陶瓷晶体
2010-3-26 20:15 4 2079
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则