打印

关于按键消抖的对比!

[复制链接]
2451|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
suxilong|  楼主 | 2010-10-17 09:29 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 suxilong 于 2010-10-17 09:32 编辑

很多都是利用边缘检测法来进行消抖。但很多代码都大同“小异”但以下两个代码异得我有点晕了

首先看静水流深前辈的代码!在本网站下的!
********************************版权声明**************************************
**                           艾米电子工作室
**                                    
**                      http://www.amy-studio.com
**
**-------------------------------------------文件信息----------------------------------------------------------
** 文件名称: key_led.v
** 创建者:艾米电子工作室   静水流深  QQ:279625753
** 创建日期:2009.06.22
** 版本号:version0.0.1
** 功能描述:实现按键消抖控制LED亮灭
** 硬件平台:艾米电子工作室开发套餐——EP2C8Q208增强版开发套件
** 版权声明:本代码属个人知识产权,艾米电子工作室保留最终解析权。
**           本代码仅供交流学习,未经艾米电子工作室同意不得用于其它商业用途。
**--------------------------------------修改文件的相关信息--------------------------------------------------
** 修改人:
** 修改日期:               
** 版本号:
** 修改内容:
**
*******************************************************************************/

module key_debounce(rst_n,clk,key,led);
input   rst_n;
input   clk;
input   key;
output  led;
  // 通过降采样对key的输入做低通滤波,将其高频分量滤除,得到low_sw值
  reg [17:0]  cnt;
  always @ ( posedge clk )
    if ( !rst_n )
      cnt <= 18'd0;
    else
      cnt <= cnt + 1'b1;
  
  wire  sample_pulse = cnt == 18'h3ffff;
  //wire  sample_pulse;
  //assign sample_pulse = (cnt == 18'h3ffff);
  reg   low_sw;
  always @( posedge clk )
    if ( !rst_n )
      low_sw <= 1'b1;
    else if (sample_pulse )
      low_sw <= key;  
  // 在整个low_sw(active_low)有效过程中取一个控制量作为led的控制信号,本示例
  // 中使用 low_sw的下降沿
  
  reg   low_sw_r; //将low_sw信号锁存一个时钟周期,延时不是真真的“锁存”
  always @ ( posedge clk )
    low_sw_r <= low_sw;
   
  wire led_ctrl = low_sw_r & ( !low_sw);  
   
  reg led;
  always @ ( posedge clk or negedge rst_n )
    if ( !rst_n )
    led<= 1'b0;
    else if ( led_ctrl )
     led <= ~led;
endmodule
他的RTL 视图

以下是特权关于按键消抖的代码,他是三个按键的!我想原理应该基本一样吧!
但是我发现一样不懂的是,为什么特权写的消抖中,对计数器的计数也有限制!
他多了一个key_an的边缘检测,用来控制计数清零的

这个我不懂,请问这其中的区别!!!!

////////////////////////////////////////////////////////////////////////////////
// Engineer:                 特权
// Revision:
// Revision 0.01 - File Created
// 欢迎加入EDN的FPGA/CPLD助学小组一起讨论:http://group.**/1375/
////////////////////////////////////////////////////////////////////////////////

//说明:当三个独立按键的某一个被按下后,相应的LED被点亮;
//                再次按下后,LED熄灭,按键控制LED亮灭

module sw_debounce(
                    clk,rst_n,
                        sw1_n,sw2_n,sw3_n,
                           led_d1,led_d2,led_d3
                    );

input   clk;        //主时钟信号,50MHz
input   rst_n;        //复位信号,低有效
input   sw1_n,sw2_n,sw3_n;         //三个独立按键,低表示按下
output  led_d1,led_d2,led_d3;        //发光二极管,分别由按键控制

//---------------------------------------------------------------------------
reg[2:0] key_rst;  

always @(posedge clk  or negedge rst_n)
    if (!rst_n) key_rst <= 3'b111;
    else key_rst <= {sw3_n,sw2_n,sw1_n};

reg[2:0] key_rst_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk  or negedge rst_n )
    if (!rst_n) key_rst_r <= 3'b111;
    else key_rst_r <= key_rst;
   
//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期
wire[2:0] key_an = key_rst_r & ( ~key_rst);

//---------------------------------------------------------------------------
reg[19:0]  cnt;        //计数寄存器

always @ (posedge clk  or negedge rst_n)
    if (!rst_n) cnt <= 20'd0;        //异步复位
        else if(key_an) cnt <=20'd0;
    else cnt <= cnt + 1'b1;
  
reg[2:0] low_sw;

always @(posedge clk  or negedge rst_n)
    if (!rst_n) low_sw <= 3'b111;
    else if (cnt == 20'hfffff)         //满20ms,将按键值锁存到寄存器low_sw中         cnt == 20'hfffff
      low_sw <= {sw3_n,sw2_n,sw1_n};
      
//---------------------------------------------------------------------------
reg  [2:0] low_sw_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk  or negedge rst_n )
    if (!rst_n) low_sw_r <= 3'b111;
    else low_sw_r <= low_sw;
   
//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期
wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

reg d1;
reg d2;
reg d3;
  
always @ (posedge clk or negedge rst_n)
    if (!rst_n) begin
        d1 <= 1'b0;
        d2 <= 1'b0;
        d3 <= 1'b0;
      end
    else begin                //某个按键值变化时,LED将做亮灭翻转
        if ( led_ctrl[0] ) d1 <= ~d1;        
        if ( led_ctrl[1] ) d2 <= ~d2;
        if ( led_ctrl[2] ) d3 <= ~d3;
      end

assign led_d3 = d1 ? 1'b1 : 1'b0;                //LED翻转输出
assign led_d2 = d2 ? 1'b1 : 1'b0;
assign led_d1 = d3 ? 1'b1 : 1'b0;
  
endmodule
他的RTL视图

相关帖子

沙发
suxilong|  楼主 | 2010-10-17 09:33 | 只看该作者
请问这两个代码中,加多一个边缘检测后到底有什么区别?我下在板子上结果都一样

使用特权

评论回复
板凳
岚369| | 2011-5-14 19:57 | 只看该作者
:)理解

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:没有最差,只有最懒

55

主题

339

帖子

4

粉丝