打印
[VHDL]

使用PLL的约束问题

[复制链接]
2236|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
shallsure|  楼主 | 2012-1-11 22:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
在使用Xilinx平台时,遇到一下问题,请各位高手予以解答。
    工程信息:
             FPGA外源输入时钟CLK_IN, 到design中会进入到三条不同的path:
               1.   作为FPGA现成的PLL模块的输入,PLL分频后产生时钟SYS_CLK;
               2.   时钟输入,直接驱动design中电路;
               3.  经过与或逻辑后,输出时钟CLK_OUT, 驱动电路输出数据DATA_OUT;

             以上三条时钟都做了异步处理。


问题是:
                在ucf约束文件,创建时钟CLK_IN, 创建时钟SYS_CLK;会报warning:    CLK_IN 周期定义了两次,约束被忽略, 猜测原因是因为PLL生成信息中已经包含了时钟信息。但是,如果不创建时钟CLK_IN的话,第2条path中直接驱动的电路timing信息没法加。这是第一个问题。

            第二个问题,为了保证CLK_OUT与DATA_OUT的同源特性,做怎样约束合适,只设置IOB是否能保证?

相关帖子

沙发
Backkom80| | 2012-3-15 12:54 | 只看该作者
嘻嘻,两个多月了,都没有回啊,
:lol

使用特权

评论回复
板凳
yxf236| | 2012-3-15 16:42 | 只看该作者
我感觉这样做好像不行吧,外部输入时钟作为DCM的输入时钟后就不能再作为其他操作的时钟了吧?
DCM中不是有个CLK0_OUT吗?跟输入时钟是一样的,你的2和3可以用这个。

使用特权

评论回复
地板
dong_dt| | 2012-3-16 15:03 | 只看该作者
楼上正解。不可能同时把CLK_IN即接在DCM的时钟输入,又接在其他单元的时钟输入上吧。
DCM中的IP核中已经包含对时钟的约束信息了。所以不需要在UCF里面再次约束。

使用特权

评论回复
5
dong_dt| | 2012-3-16 15:04 | 只看该作者
补充一点,要保证“CLK_OUT与DATA_OUT的同源特性”。可以采用OFFSET OUT约束

使用特权

评论回复
6
daisyly| | 2012-3-26 23:09 | 只看该作者
还是没有解决?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

2

帖子

1

粉丝