打印

Verilog 保留字

[复制链接]
1209|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
星星之火红|  楼主 | 2012-11-8 00:11 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
always         and           assign            begin           buf                bufif0            bufif1          case
casex          casez         cmos             deassign      default          defparam      disable       edge
else             end            endcase        endmodule  endfunction  endprimitive endspecify  endtable
endtask       event         for                  force            forever          fork                function     highz0
highz1         if               ifnone            initial            inout             input              integer
join             large         macrmodule  medium        module         nand              negedge     nmos
nor              not            notif0             notif1            or                  output            parameter   pmos
posedge     primitive    pull0              pull1              pullup          pulldown        rcmos         real
realtime      reg            release          repeat            rnmos          rpmos            rtran            rtranif0  
rtranif1       scalared    small              specify           specparam  strong0           strong1       supply0
supply1      table          task               time                trantranif0    tranif1            tri               tri0
tri1             triand         trior               trireg              vectored       wait               wand          weak0
weak1        while         wire               wor                xnor               xor

相关帖子

沙发
lxAPP| | 2012-11-8 00:18 | 只看该作者
这个很好哦。

使用特权

评论回复
板凳
GoldSunMonkey| | 2012-11-8 00:30 | 只看该作者
:loveliness:

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

101

主题

1782

帖子

22

粉丝