问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - tc
  • MM32如何调用ITCM和DTCM? sos

    最近了解到了TCM,但不会使用想请教一下各位大佬该如何使用

    tc MM32 技术交流 单片机 国产芯片 嵌入式

    764浏览量 0回复量 关注量
  • HK32F030C8T6的RTC例程 sos

    哪位前辈有HK的RTC中断例程呢?求一份

    RTC tc

    8404浏览量 0回复量 关注量
  • RTC时钟电源

    华大的RTC电源应该怎么弄比较合适?

    RTC 时钟 电源 tc RTC时钟

    1748浏览量 1回复量 关注量
  • HC32L130DMA+LPUART发送,用block模式,只能传送一个block

    [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]请教各位一个问题:[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]使用LPUART0+DMA,发送4个字节,结果查看寄存器,SRCADR只前进了一位,就卡住了,貌似LPUART没给DMA触发信号[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]代码如下:[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]uint8_t u8TxData[8] = {'A','T','\r','\n'}; //addr: 0x20000000[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]int32_t main(void)[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]{ [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] uint32_t i;[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] //uart端口配置[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] App_UartPortInit();[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] //UART模块配置[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] App_UartCfg();[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] //DMA参数配置[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] App_DmaCfg();[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] while(1)[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] {[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] if(5 == Dma_GetStat(DmaCh1))[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] {[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] //清除通道0状态值 [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Dma_DisableChannel(DmaCh1);[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] break;[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] }[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] }[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] while(1){[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] }[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]}[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]//UART模块配置[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]void App_UartCfg(void)[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]{[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] stc_lpuart_cfg_t stcCfg;[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] DDL_ZERO_STRUCT(stcCfg);[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] ///<外设模块时钟使能[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Sysctrl_SetPeripheralGate(SysctrlPeripheralLpUart0,TRUE); [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] ///CH1)[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Dma_Enable(); //DMA模块使能[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Dma_InitChannel(DmaCh1, &stcDmaCfg); //DMA通道1初始化[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Dma_EnableChannel(DmaCh1); //使能通道1[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]}[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]//uart端口配置[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]void App_UartPortInit(void)[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px]{[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] stc_gpio_cfg_t stcGpioCfg;[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] DDL_ZERO_STRUCT(stcGpioCfg);[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] Sysctrl_SetPeripheralGate(SysctrlPeripheralGpio,TRUE);[/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] [/size][/font][/backcolor][/color] [color=#444444][backcolor=rgb(242, 249, 253)][font=Tahoma, "][size=16px] ///

    HC ck lpuart DMA ST tc

    2261浏览量 1回复量 关注量
  • F460 DMA串口发送 软件AOS触发问题

    F460 的DMA串口发送 软件AOS触发不了 #define USART_DMA_UNIT (M4_DMA1) #define RX_DMA_CH (DmaCh0) #define RX_DMA_TRG_SEL (EVT_USART1_RI) #define TX_DMA_CH (DmaCh1) #define TX_DMA_TRG_SEL (EVT_USART1_TI) /* DMA block transfer complete interrupt */ #define RX_DMA_BTC_INT_NUM (INT_DMA1_TC0) #define RX_DMA_BTC_INT_IRQn (Int006_IRQn) #define TX_DMA_BTC_INT_NUM (INT_DMA1_TC1) #define TX_DMA_BTC_INT_IRQn (Int005_IRQn) void dma_tx_init(void) { stc_dma_config_t stcDmaInit; stc_irq_regi_conf_t stcIrqRegiCfg; /* Enable peripheral clock */ PWC_Fcg0PeriphClockCmd(PWC_FCG0_PERIPH_DMA1 | PWC_FCG0_PERIPH_DMA2,Enable); /* Enable DMA. */ DMA_Cmd(USART_DMA_UNIT,Enable); /* Initialize DMA. */ MEM_ZERO_STRUCT(stcDmaInit); stcDmaInit.u16BlockSize = 10u; /* 1 block */ stcDmaInit.u32SrcAddr = ((uint32_t)(send_buff)); /* Set source address. */ stcDmaInit.u32DesAddr = (uint32_t)(&USART_CH->DR); /* Set destination address. TDR*/ stcDmaInit.stcDmaChCfg.enLlpEn = Disable; /* Disable linked list transfer. */ stcDmaInit.stcDmaChCfg.enSrcInc = AddressIncrease; /* Set source address mode. */ stcDmaInit.stcDmaChCfg.enDesInc = AddressFix; /* Set destination address mode. */ stcDmaInit.stcDmaChCfg.enIntEn = Enable; /* Enable interrupt. */ stcDmaInit.stcDmaChCfg.enTrnWidth = Dma8Bit; /* Set data width 8bit. */ stcDmaInit.u16TransferCnt = 1; DMA_InitChannel(USART_DMA_UNIT, TX_DMA_CH, &stcDmaInit); /* Enable the specified DMA channel. */ DMA_ChannelCmd(USART_DMA_UNIT, TX_DMA_CH, Enable); /* Clear DMA flag. */ DMA_ClearIrqFlag(USART_DMA_UNIT, TX_DMA_CH, TrnCpltIrq); /* Enable peripheral circuit trigger function. */ PWC_Fcg0PeriphClockCmd(PWC_FCG0_PERIPH_PTDIS,Enable); /* Set DMA trigger source. */ DMA_SetTriggerSrc(USART_DMA_UNIT, TX_DMA_CH, EVT_AOS_STRG); /* Set DMA block transfer complete IRQ */ stcIrqRegiCfg.enIRQn = TX_DMA_BTC_INT_IRQn; stcIrqRegiCfg.pfnCallback = &Dma_TX_IrqCallback; stcIrqRegiCfg.enIntSrc = TX_DMA_BTC_INT_NUM; enIrqRegistration(&stcIrqRegiCfg); NVIC_SetPriority(stcIrqRegiCfg.enIRQn, DDL_IRQ_PRIORITY_DEFAULT); NVIC_ClearPendingIRQ(stcIrqRegiCfg.enIRQn); NVIC_EnableIRQ(stcIrqRegiCfg.enIRQn); AOS_SW_Trigger(); } 以上就是初始化,没法正常输出,有时候会输出一个字节 还想请问下 block是指 的是一次发送数据的量吧,u16TransferCnt 这个是指发送数据的次数 总数据量应该是 block*u16TransferCnt 吧。不知道这里有没有理解错误

    os DMA 串口发送 触发 软件 tc

    3531浏览量 1回复量 关注量
  • 求助,New Runtime Watch无法选择

    [img]data:image/png;base64,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[/img]

    runtime WATCH TI tc

    1528浏览量 1回复量 关注量
  • 关于华大HC32F460的时钟配置问题

    我在配置HC32F460的时钟,遇到了一个比较鬼畜的问题。我按照如下代码设置了时钟,但奇怪的是,我在硬件上端接晶振引脚,按说芯片会宕机才对,但芯片居然毫无影响,继续运行。想麻烦大家帮我看看,是我哪里设置的不对 [color=#d4d4d4][backcolor=rgb(30, 30, 30)][font=Menlo, Monaco, "][size=12px] [color=#569cd6]void[/color] [color=#dcdcaa]SystemClk_Init[/color]([color=#569cd6]void[/color]) { [color=#4ec9b0]stc_clk_sysclk_cfg_t[/color] [color=#9cdcfe]stcSysClkCfg[/color]; [color=#4ec9b0]stc_clk_xtal_cfg_t[/color] [color=#9cdcfe]stcXtalCfg[/color]; [color=#4ec9b0]stc_clk_mpll_cfg_t[/color] [color=#9cdcfe]stcMpllCfg[/color]; [color=#569cd6]MEM_ZERO_STRUCT[/color]([color=#9cdcfe]stcSysClkCfg[/color]); [color=#569cd6]MEM_ZERO_STRUCT[/color]([color=#9cdcfe]stcXtalCfg[/color]); [color=#569cd6]MEM_ZERO_STRUCT[/color]([color=#9cdcfe]stcMpllCfg[/color]); [color=#6a9955]/* Set bus clk div. */[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enHclkDiv[/color] = [color=#4fc1ff]ClkSysclkDiv1[/color]; [color=#6a9955]// 168MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enExclkDiv[/color] = [color=#4fc1ff]ClkSysclkDiv2[/color]; [color=#6a9955]// 84MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enPclk0Div[/color] = [color=#4fc1ff]ClkSysclkDiv1[/color]; [color=#6a9955]// 168MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enPclk1Div[/color] = [color=#4fc1ff]ClkSysclkDiv2[/color]; [color=#6a9955]// 84MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enPclk2Div[/color] = [color=#4fc1ff]ClkSysclkDiv4[/color]; [color=#6a9955]// 42MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enPclk3Div[/color] = [color=#4fc1ff]ClkSysclkDiv4[/color]; [color=#6a9955]// 42MHz[/color] [color=#9cdcfe]stcSysClkCfg[/color].[color=#9cdcfe]enPclk4Div[/color] = [color=#4fc1ff]ClkSysclkDiv2[/color]; [color=#6a9955]// 84MHz[/color] [color=#dcdcaa]CLK_SysClkConfig[/color](&[color=#9cdcfe]stcSysClkCfg[/color]); [color=#6a9955]/* Switch system clock source to MPLL. */[/color] [color=#6a9955]/* Use Xtal as MPLL source. */[/color] [color=#9cdcfe]stcXtalCfg[/color].[color=#9cdcfe]enMode[/color] = [color=#4fc1ff]ClkXtalModeOsc[/color]; [color=#9cdcfe]stcXtalCfg[/color].[color=#9cdcfe]enDrv[/color] = [color=#4fc1ff]ClkXtalLowDrv[/color]; [color=#9cdcfe]stcXtalCfg[/color].[color=#9cdcfe]enFastStartup[/color] = [color=#4fc1ff]Disable[/color]; [color=#dcdcaa]CLK_XtalConfig[/color](&[color=#9cdcfe]stcXtalCfg[/color]); [color=#dcdcaa]CLK_XtalCmd[/color]([color=#4fc1ff]Enable[/color]); [color=#6a9955]/* MPLL config. */[/color] [color=#9cdcfe]stcMpllCfg[/color].[color=#9cdcfe]pllmDiv[/color] = [color=#b5cea8]1u[/color]; [color=#9cdcfe]stcMpllCfg[/color].[color=#9cdcfe]plln[/color] = [color=#b5cea8]42u[/color]; [color=#9cdcfe]stcMpllCfg[/color].[color=#9cdcfe]PllpDiv[/color] = [color=#b5cea8]2u[/color]; [color=#9cdcfe]stcMpllCfg[/color].[color=#9cdcfe]PllqDiv[/color] = [color=#b5cea8]2u[/color]; [color=#9cdcfe]stcMpllCfg[/color].[color=#9cdcfe]PllrDiv[/color] = [color=#b5cea8]2u[/color]; [color=#dcdcaa]CLK_SetPllSource[/color]([color=#4fc1ff]ClkPllSrcXTAL[/color]); [color=#dcdcaa]CLK_MpllConfig[/color](&[color=#9cdcfe]stcMpllCfg[/color]); [color=#6a9955]/* flash read wait cycle setting */[/color] [color=#dcdcaa]EFM_Unlock[/color](); [color=#dcdcaa]EFM_SetLatency[/color]([color=#569cd6]EFM_LATENCY_4[/color]); [color=#dcdcaa]EFM_Lock[/color](); [color=#6a9955]/* Enable MPLL. */[/color] [color=#dcdcaa]CLK_MpllCmd[/color]([color=#4fc1ff]Enable[/color]); [color=#6a9955]/* Wait MPLL ready. */[/color] [color=#c586c0]while[/color] ([color=#4fc1ff]Set[/color] != [color=#dcdcaa]CLK_GetFlagStatus[/color]([color=#4fc1ff]ClkFlagMPLLRdy[/color])) { } [color=#6a9955]/* Switch system clock source to MPLL. */[/color] [color=#dcdcaa]CLK_SetSysClkSource[/color]([color=#4fc1ff]ClkSysSrcXTAL[/color]); } [/size][/font][/backcolor][/color]

    时钟配置 hc32f460 tc stc PLL

    5565浏览量 9回复量 关注量
  • 关于Nano130 M0多串口问题 sos

    Nano130 M0 规格书里说芯片共有5个uart口,其中标准uart口两个uart0和uart1。还有三个uart是给Smart card用的,SC0_uart,SC1_uart和 SC2_uart,请问,我能把这三个Smart card用的uart用作通用的uart吗?如何设置?

    AN 多串口 UART SMART tc tca

    951浏览量 0回复量 关注量
  • 想通过初始化GPIO口采集外部电平信号但总是初始化不成功。 sos

    [size=14px] Sysctrl_SetPeripheralGate(SysctrlPeripheralGpio, TRUE); //timer [/size] [size=14px] stc_gpio_cfg_t stcGpioCfg; [/size] [size=14px] stcGpioCfg.enDir = GpioDirIn; [/size] [size=14px] stcGpioCfg.enPu = GpioPuEnable;[/size] [size=14px] stcGpioCfg.enCtrlMode = GpioAHB;[/size] [size=14px] Gpio_Init(GpioPortB, GpioPin6,&stcGpioCfg); [/size] [size=14px] [/size] [size=14px] [/size] [size=14px] while (1) {[/size] [size=14px] [/size] [size=14px] rev_len = DevUART_Read(&gDebugUart, recv_buf, MEM_POOL_BLOCK_SIZE, OS_ALWAYS_DELAY); [/size] [size=14px] uint8_t dian;[/size] [size=14px] //unsigned char *G;[/size] [size=14px] uint8_t *G;[/size] [size=14px] dian=Gpio_GetInputIO(GpioPortB,GpioPin6);[/size] [size=14px] sprintf(G,"%d",dian); [/size] [size=14px] AT_TxFreq(0, G, rev_len);[/size] [size=14px] gEnableRadioRx = true;[/size] [size=14px] osDelayMs(10); [/size]

    信号 电平 GPIO tc ST stc

    1325浏览量 0回复量 关注量
  • IGBT的NTC测量一般需要隔离吗?

    新人,请问IGBT的NTC测量一般需要隔离吗?

    IGBT NTC 隔离 tc GB

    881浏览量 2回复量 关注量
  • 功率型NTC热敏电阻器的选用原则是什么

    功率型NTC热敏电阻器的选用原则是什么

    NTC 热敏电阻 电阻 电阻器 tc

    763浏览量 1回复量 关注量
  • STM32F103C8T6变量问题

    求助各位大神,使用的是STM32F103C8T6这个片子,编写了一个程序ROdata=412,RWdata=76,ZIdata=17428,数据量应该是没有超出20K,可是程序运行出来的结果总是出错,如果把定义的变量顺序进行一些更改,例如原来顺序为:u16 a=?; u16 b=?; float c=?; s16 d=?;程序运行后的结果为e=?; 然后把顺序更改为float c=?;u16a=?;s16 d=?;u16 b=?;程序运行后的结果为e=?; 两次得出来的结果就不同,请教一下这是什么原因造成的?

    stm32f103c8t6 tc idata 编写

    823浏览量 4回复量 关注量
  • 急用,关于程序下载问题 sos

    使用的为F28027的开发板,现在在做一个外部中断的下程序,但是程序怎么就下载不进去,尝试新建了几个新的工程都不行,而原先的工程却可以正常下载。 我个人也写过不少C2000的程序,都从来没遇到过这样的问题。 所出现的提示为:wideinterrupt.out could not open file. 最近就要验收了,求指教。

    IDE tc TE top inter

    435浏览量 0回复量 关注量
  • CH579 BLE的sleep时间单位是什么

    WAKE_UP_RTC_MAX_TIME - 睡眠唤醒所需时间,根据不同睡眠类型取值可分为:睡眠模式/下电模式 - 65(默认) 这个单位是什么?ms?还是计数值?

    sleep BLE RTC TI tc

    807浏览量 5回复量 关注量
  • RTC闹钟的中断

    有关RTC闹钟的中断,就是要求每一个小时整点产生一次RTC闹钟中断,前几个小时正常,可后面就不产生RTC中断,各位指点下.

    RTC tc

    757浏览量 8回复量 关注量
12下一页