大侠,您好! 我是一个大学生,电子专业,我对FPGA很感兴趣,现在用VHDL进行编写一些小的逻辑功能程序,可是,最近我要编写一个带有算法的程序,而且还是小数和负数的运算,我不知道该怎么办. 下面简单说明一下我要实现的功能: din1: in std_logic_vector(7 downto 0); din2: in std_logic_vector(9 downto 0); led: out std_logic_vector(1 downto 0); constant :x= -10.078812453; constant :y= 3.80764200637; A=x*din1; B=y*din2; if (A+B) <-1024 then led<="00"; elsif (A+B) <0 then led<="01"; elsif (A+B) <512 then led<="10"; else led<="11"; end 以上是功能描述,不是原代码, 其中din1和din2是外部输入的数据,led是输出指示灯. 在VHDL中不支持小数和实数,那怎么进行运算呢?
非常感谢, |