打印
[CPLD]

求教FIFO问题

[复制链接]
1125|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
youhun3058957|  楼主 | 2012-7-27 16:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
如题,本人写了一个数据采集器,例化了一个IP核异步FIFO,写时钟为13.5M,读时钟为50M,每写入256个数据后,发送读取申请,读取写入的256个数据,存入到SDRAM中,用了6.2版本的IP,仿真没有看出什么错,但是上板后用示波器发现FIFO一会就FULL信号变高,满了,,纠结好几天了,都不晓得咋回事,请高手帮助,谢谢,

相关帖子

沙发
mr.king| | 2012-7-27 19:42 | 只看该作者
上面提供的信息不够分析

使用特权

评论回复
板凳
GoldSunMonkey| | 2012-7-27 22:17 | 只看该作者
写的速度快了?

使用特权

评论回复
地板
cxj_hwer| | 2012-7-28 12:28 | 只看该作者
现象说明fifo被写满,建议检查wen和ren这两个关键的控制信号,并检查fifo其它的状态信号是否有异常。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

0

主题

1

帖子

1

粉丝