打印
[FPGA]

请教并行总线怎么保证延迟一致?

[复制链接]
1140|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lllaaa|  楼主 | 2015-1-14 14:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 lllaaa 于 2015-1-14 14:13 编辑

在quartus里面怎么做约束能告诉quartus保证这几根线的信号要做到延迟一致?

实际情况是这样

reg [15:0] shift;
always @(posedge refclk10M)
begin
    shift <= {shift[14:0], trigger};
end
assign pin1 = shift[3];
assign pin2 = shift[5];

我用10M信号给进来之后,测量得到pin1,pin2沿之间差异并不是200ns。而是198ns。因此想补偿这个差异。时间用频率计和示波器都确认过确实是198ns。测试的时候都用的同一个10M作为参考。  

相关帖子

沙发
ar_dong| | 2015-1-14 20:42 | 只看该作者
2ns很小的啊,换个管脚,重新编译一次都可能变啊。这个已经很难控制了

使用特权

评论回复
板凳
AnHongliang| | 2015-1-15 08:44 | 只看该作者
Xilinx的FPGA中有iodelay模块,Altera应该也有类似的模块。

使用特权

评论回复
地板
shiyinjita| | 2015-1-29 09:57 | 只看该作者
IO的延迟补进也做不懂198ps的延迟差,建议固定位置后在修改

使用特权

评论回复
5
lllaaa|  楼主 | 2015-1-30 16:58 | 只看该作者
shiyinjita 发表于 2015-1-29 09:57
IO的延迟补进也做不懂198ps的延迟差,建议固定位置后在修改

现在是差2ns。这个能搞定么

使用特权

评论回复
6
leasor| | 2015-1-30 17:42 | 只看该作者
assign {pin1,pin2} = {shift[3],shift[5]};

不知道这样可以吗?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

83

帖子

1

粉丝