【可编程Asic(FPGA、CPLD)技术交流,HDL coding style讨论,EDA软件工具使用】
本版专家: gaochy1126
今日: 1|主题: 7584|帖子: 42562 收藏 (42)
 
[FPGA] Zynq的启动与配置过程详解 attach_img  ...2
2024-4-4 03:29 50 7519
[FPGA] Altera FPGA CPLD设计(高级篇) attachment
2024-3-1 10:13 3 2637
[FPGA] 1.Idelay 如何添加? attach_img
2023-12-5 15:14 1 2075
[FPGA] EP4CE6E22C8 FPGA最小系统电路原理图+PCB源文件 attach_img
2023-9-1 16:06 1 5077
[FPGA] D触发器
2023-7-28 18:02 1 3434
[FPGA] Testbench的编写与应用
2023-7-28 17:54 2 3425
[FPGA] FPGA的管脚分配
2023-2-28 22:33 3 3576
[FPGA] Verilog中wire与reg类型的区别
2023-2-28 22:11 24 2686
[FPGA] 同步下降沿检测
2022-12-31 21:49 24 1249
[FPGA] Altium Designer 加**方法
2022-12-29 20:52 0 1054
[FPGA] verilog语言中模块的端口的输入类型 attach_img
2022-12-29 20:15 1 1208
[FPGA] Vivado介绍 attach_img
2022-11-30 21:02 5 1431
[FPGA] Vivado IP核:FFT实验 attach_img
2022-11-30 21:00 5 1436
[FPGA] Vivado 调用IP核 attach_img
2022-11-30 20:57 5 1448
[FPGA] Xilinx的Vivado与ISE区别
2022-8-31 22:07 0 2214
[FPGA] IPC-9704标准丨PCB应力应变测试仪测试分析 新人帖
2022-8-31 20:07 1 2645
[FPGA] Testbench文件 attach_img
2022-8-30 21:44 0 2119
[FPGA] PCB应力应变测试分析---IPC-9704基础
2022-7-9 14:46 0 2615
[FPGA] Cadence/Allegro学习笔记 attach_img
2022-6-30 21:42 32 2709
[FPGA] ISE_软件基本使用流程 attach_img
2022-5-31 21:25 12 2736
[FPGA] Altera FPGA/CPLD设计 基础篇 attachment  ...2
2022-5-23 16:08 39 3297
[FPGA] Quartus II调用modelsim attach_img
2022-5-16 10:07 5 3299
[FPGA] EDA软件国内外发展情况调研 新人帖
2022-4-30 10:00 0 3765
[FPGA] Testbench的编写与应用 attach_img
2022-3-31 23:16 0 3173
[FPGA] Verilog语法入门 attach_img
2022-3-31 23:15 0 3098
[FPGA] Modelsim-Altera 仿真 attach_img
2022-3-31 23:14 1 2949
[FPGA] quartusii各种仿真概念
2022-3-31 23:12 0 2806
[FPGA] Quartus II辅助工具_RTL Viewer使用方法 attach_img
2022-3-31 23:04 0 3750
[FPGA] Qspi_flash上电启动zynq应用工程 attach_img
2022-3-31 23:02 2 2823
[FPGA] FPGA的flash板卡程序擦除与固化
2022-3-31 22:58 3 2948
[FPGA] FPGA生成JIC方法 attach_img
2022-3-31 22:55 2 2648
[FPGA] FPGA(UART)
2022-3-31 22:52 0 2384
[FPGA] FPGA LVDS
2022-3-31 22:50 1 2403
[FPGA] Altera FPGA CPLD设计(基础篇) attachment
2022-3-15 23:04 2 2616
[FPGA] quartus如何调用modelsim attach_img
2022-2-27 15:31 2 2366
[FPGA] Quartus工程建立 attachment
2022-2-27 15:27 0 2139
[FPGA] Quartus.II调用ModelSim仿真实例 attachment
2022-2-27 15:26 0 2068
[FPGA] 为FPGA选择最合适的电源管理 attach_img
2022-2-27 15:11 2 2102
[FPGA] 基于模型设计的HDL代码自动生成 attach_img
2022-2-27 15:09 2 2216
[FPGA] 基于FPGA的FFT算法硬件实现 attach_img
2022-2-27 15:04 0 2168
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则