打印
[verilog]

一个时钟下降沿计数,经常检测不到下降沿

[复制链接]
1594|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
本帖最后由 dongdong521 于 2013-11-27 13:57 编辑

32位串入并出移位寄存器
系统时钟clk_50M(频率50M),clk1移位时钟(周期10US),data数据
reg clk1,data1,data_rst1;
always@(posedge clk_50M or negedge rst_n )
begin
        if (!rst_n)
                begin
                clk1<=0;
                data1<=0;
                end
        else
                begin
                clk1<=clk;
                data1<=data;
                data_rst<=data_rst;        
                end
end





reg[31:0] reg_cmd;
always@(posedge clk_50M or negedge rst_n)
        begin
                if (!rst_n)
                begin
                        cnt[5:0]<=0;
                end
                else
                        begin
                        if (data_rst1==0 && data_rst==1)
                                begin
                                        cnt[5:0]<=5'b0;
                                end
                        else if (!data_rst)
                                begin
                                        if (cnt[5:0]<33)
                                                begin
                                                        if (clk==0 && clk1==1)
                                                                begin
                                                                        cnt[5:0]<=cnt[5:0]+1'b1;
                                                                        
                                                                end
                                                        else if (clk==1 && clk1==0)
                                                                begin
                                                                        
                                                                        reg_cmd[0]<=data;
                                                                        reg_cmd[31:1]<=reg_cmd[30:0];
                                                                        
                                                                        
                                                                end
                                                end
                                       
                                end
                                end
        end

相关帖子

沙发
dongdong521|  楼主 | 2013-11-27 14:03 | 只看该作者

使用特权

评论回复
板凳
dongdong521|  楼主 | 2013-11-27 14:04 | 只看该作者

使用特权

评论回复
地板
dongdong521|  楼主 | 2013-11-27 14:04 | 只看该作者

使用特权

评论回复
5
dongdong521|  楼主 | 2013-11-27 14:07 | 只看该作者
本帖最后由 dongdong521 于 2013-11-27 14:11 编辑

这个是singaltap波形。明显看到有个时钟沿,计数值没有累加,
第一个是移位时钟clk1,第二个是计数值cnt,用来移位。
就是02h处,有个clk1的下降沿,但是计数值依然是02h,没有改变

QQ截图20131127140235.jpg (30.93 KB )

QQ截图20131127140235.jpg

使用特权

评论回复
6
zhaojingzb| | 2013-11-27 14:17 | 只看该作者
嗯~~看不到图呢

使用特权

评论回复
7
zhaojingzb| | 2013-11-27 14:26 | 只看该作者
应该是有亚稳态吧,下降沿取2拍和3拍的。

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
dongdong521 + 1 谢啦,是这个问题
8
mbdly| | 2013-11-27 14:32 | 只看该作者
看不到内容,卡了

使用特权

评论回复
9
dongdong521|  楼主 | 2013-11-27 15:31 | 只看该作者
zhaojingzb 发表于 2013-11-27 14:26
应该是有亚稳态吧,下降沿取2拍和3拍的。

多谢多谢,仿真一下午了,水平有限,新手

使用特权

评论回复
10
zhaojingzb| | 2013-11-27 15:42 | 只看该作者
能解决问题就好

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

12

帖子

0

粉丝