今日: 0|主题: 14087|帖子: 104047 收藏 (178)
XILINX 隆重推出新一代7系列FPGA
2010-6-24 17:37 3 1490
fpga教程下载分享(pdf)
2010-6-22 13:52 0 2339
应届大四学生求指导,勿扔砖
2010-6-22 03:25 7 2019
FPGA驱动LCD
2010-6-21 12:51 0 1616
RGMII接口的误码率问题
2010-6-19 11:48 5 4934
请教高手帮我看一下程序
2010-6-18 20:01 3 2186
想要学习FPGA,希望推荐款合适的学习板
2010-6-18 15:11 8 2361
请问移位寄存器的VHDL语言,要求见正文!!!急!
2010-6-17 18:05 3 3098
有Lattice4064的参考书么?
2010-6-17 15:36 1 1536
jpeg2000
2010-6-17 10:44 3 1927
EPM3128隔离输入干扰 attach_img
2010-6-16 10:46 0 1512
cpld读脉冲的问题? attach_img
2010-6-14 13:14 11 2677
请教verilog的pwm波的产生
2010-6-10 21:25 9 6358
Quartus II可不可以读出CPLD里的检查和(checksum)
2010-6-10 10:41 0 2542
有没有基于ispmach 4000系列的参考书啊?
2010-6-7 19:06 0 1477
通信技术
2010-6-5 16:59 1 1445
Altera的硬核CPU进展的咋样了?
2010-6-5 13:16 2 2393
高手指教 altera EPM128S用作3.3V和5V的IO逻辑怎么设计?
2010-6-5 10:47 1 1972
简单问题求助
2010-6-3 14:50 8 1581
ise请教
2010-6-1 19:31 5 3300
EPM240T100I5 CPLD的2个IO口用原理图输入直接相连后电阻大概多少
2010-6-1 19:29 6 2907
CPLD输入驱动问题
2010-6-1 19:10 1 1518
关于 inout
2010-6-1 15:35 5 3609
CPLD输入干扰问题
2010-6-1 15:32 4 4013
求助 Altera MAX7128S I/O电压的问题
2010-5-29 21:00 7 2820
emp7128的vccint引脚电压
2010-5-29 20:59 2 2219
问一个Verilog 程序的问题,实现数据总线
2010-5-29 20:52 3 2452
大侠,请教个时序逻辑概念问题
2010-5-29 08:34 1 1482
嵌套状态机请教
2010-5-27 09:53 9 3082
请问网上有卖EPM240转7128S的PCB吗?
2010-5-27 08:57 2 1993
请问图中34063 DC-DC电路中,V1是起过流或过压保护作用吗? attach_img
2010-5-25 18:09 0 1277
请问fpga能否做radio switch?
2010-5-25 14:10 0 1532
NiosII编程中遇到的问题
2010-5-25 00:07 4 2690
求购:现急需一块CPLDEPM7128SLC84_10的开发板
2010-5-24 16:31 3 2188
更新下面的ASIC IP cores,需要的可以联系:
2010-5-24 15:43 0 1861
用VHDL实现AD转换显示模块
2010-5-23 17:11 1 1923
疑问
2010-5-22 20:57 8 1891
求助:基于FPGA的矩阵键盘程序
2010-5-22 20:54 8 2559
[求助]关于NiosII9.1按键中断问题。
2010-5-22 20:26 2 1876
求助 很急 毕业设计 高手帮看看我这程序 等精度频率计 attachment
2010-5-22 18:32 1 1594
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则